Gebosun Smart Lighting PLC Solution for Street Light

Apejuwe kukuru:

Imọlẹ ita Smart pẹlu ojutu PLC, ti o ni oludari aarin, oluṣakoso atupa kan tabi atupa atupa meji, awakọ dimming.Awọn anfani ti ojutu PLC ni pe gbigbe ifihan agbara ti firanṣẹ, igbẹkẹle giga, oṣuwọn gbigbe giga, ijinna gbigbe gigun.Ṣeduro awoṣe ina opopona BJX LED wa fun ojutu yii.


  • Awoṣe::BJX
  • Ojutu:PLC ojutu
  • Hardware to wa:Alakoso ti aarin, oludari atupa ẹyọkan tabi atupa atupa meji, awakọ Dimming
  • Alaye ọja

    ọja Tags

    PLC_01
    Oorun (4G) -201

    PLC ojutu

    PLC_08

    SCCS+Data Concentrator SL8200C+PLC812/PLC822/PLC816 Series

    Power Line Communication

    GIS Map lnterface, Yipada-ede pupọ, Ifihan Iṣakoso Akoko-gidi, Awọn iṣiro Gbólóhùn Lilo Agbara, Awọn iṣiro Itaniji Aṣiṣe, Isakoso Awọn ẹtọ olumulo

    Ni wiwo NEMA, Ipo GPS, Wiwa Tilt, Iṣẹ Iṣakoso Opitika, Awọn iṣẹ ṣiṣe ti ara ẹni

    Ipo Isinmi, Ilaorun ati Ipo Iwọoorun, Iṣakoso akoko pupọ-ilana

    Olona-lupu Iṣakoso, Olona-ebute Iṣakoso, Support Broadcast Multicast, ati Unicast Iṣakoso

    Ibaraẹnisọrọ ti ngbe Aaye gbigbe aaye-si-ojuami ≤ 500m ebute ebute laifọwọyi yii ≤ 2km (radius)
    PLC ibaraẹnisọrọ Ibaraẹnisọrọ igbohunsafẹfẹ 132KHZ;Oṣuwọn gbigbe: 5.5kbps;Ipo iṣatunṣe jẹ BPSK
    Adarí ebute Alakoso ebute le ṣakoso ohun elo ina gẹgẹbi atupa soda, mu ati atupa halide irin seramiki 400W
    ebute ẹrọ Ohun elo ebute naa ṣe atilẹyin PWM siwaju ati 0-10V awọn ipo dimming siwaju, ati Dali nilo lati ṣe adani
    Gbigbe ifihan agbara Okun atilẹba ni a lo fun gbigbe ifihan agbara laisi fifi laini iṣakoso kun
    Mọ awọn iṣẹ iṣakoso Ṣe idanimọ awọn iṣẹ iṣakoso: yipada iṣakoso okun waya, wiwa itaniji paramita pupọ ti minisita pinpin, atupa kanyipada, dimming, ibeere paramita, orisirisi awọn iwari itaniji ti atupa kan, ati bẹbẹ lọ.
    Mọ iṣẹ itaniji Imudani ti minisita pinpin:Titan ina ijamba, ina lairotẹlẹ ni pipa, itaniji pipa-agbara, olurannileti ipe ti nwọle,overvoltage, overcurrent, undervoltage, jijo, ohun ajeji AC contactor, ajeji Circuit fifọ ati ipadanu ipade
    Imọye atupa ẹyọkan:atupa ikuna, agbara ikuna, biinu capacitor ikuna ati awọn miiran awọn itaniji
    LoRa-MESH_14

     

    ☑ Gbigbe pinpin, aaye RTU ti o gbooro
    ☑ Jeki gbogbo eto ina ita ni wiwo
    ☑ Rọrun lati ṣepọ pẹlu eto ẹnikẹta
    ☑ Ṣe atilẹyin awọn ilana ibaraẹnisọrọ pupọ
    ☑ Gbigbawọle iṣakoso irọrun
    ☑ Eto orisun awọsanma
    ☑ Apẹrẹ didara

     

     

    PLC_15
    PLC_19
    PLC_21

    Ohun elo mojuto

    Centralized oludari

    Concentrator, afara ibaraẹnisọrọ laarin olupin (nipasẹ 2G/4G/Ethernetand oludari ẹyọkan (nipasẹ PLC) Ifihan LCD ti a ṣe sinu ati mita ọlọgbọn o ṣe atilẹyin iyipada oni nọmba 4, imudojuiwọn nipasẹ OTA,100-500VAC, IP54

    PLC_26

    BS-SL82000C-Z/M

    - LCD àpapọ.
    - Ga-išẹ 32-bit ARM9 MCU
    - Ifibọ Linux OS Syeed.
    - Pẹlu 10/100M àjọlò ni wiwo.RS485 ni wiwo USB.
    - O ṣe atilẹyin GPRS / 4G ati ipo ibaraẹnisọrọ Ethernet.
    - Igbegasoke famuwia: ori ayelujara, okun USB ati disiki USB agbegbe.
    - Mita ọlọgbọn ti a ṣe sinu: kika data latọna jijin
    (pẹlu ita mita).
    - -Itumọ ti ni PLC ibaraẹnisọrọ module
    - RTC ti a ṣe sinu, ṣe atilẹyin iṣẹ ṣiṣe eto agbegbe
    -Itumọ ti 4 DO.8 DI(6DCIN+2AC IN)
    - Iyan iṣeto ni: GPS
    - Apade ti o ni kikun: kikọlu, duro foliteji giga,
    manamana ati kikọlu ifihan agbara igbohunsafẹfẹ giga

    Nikan atupa oludari

    Olutona atupa ti o ni asopọ pẹlu awakọ LED, ibasọrọ pẹlu BOSUN-SL8200Cby PLC, 7 pin Nema ni wiwo.Tan/PA Latọna jijin, dimming(0-10V/PWM) gbigba data, 96-264VAC,2W,IP65.

    LoRa-MESH_33

    BS-816M

    - PLC gbigbe.
    - Standard NEMA 7-PIN ni wiwo, pulọọgi ati play
    - Tan/PA Latọna jijin, ti a ṣe sinu 16A yii.
    - O ṣe atilẹyin wiwo dimming: PWM ati 0-10V
    - Wiwa ikuna: ikuna atupa, ikuna agbara, ikuna kapasito isanpada, lori foliteji, lori lọwọlọwọ, labẹ foliteji, foliteji jijo.
    - Wiwa ikuna atupa: LED ati atupa HID (pẹlu ikuna agbara isanpada)
    - Ijabọ ifitonileti ikuna ni aifọwọyi si olupin ati gbogbo awọn ala ti nfa jẹ atunto
    - Atilẹyin latọna jijin ka ipo gidi-akoko ati awọn aye bi foliteji, lọwọlọwọ, agbara ati agbara, bbl
    - O ṣe atilẹyin gbigbasilẹ akoko sisun lapapọ ati tunto, gbigbasilẹ akoko ikuna lapapọ ati tunto.
    - Iyan iṣeto ni: RTC ati tẹ
    - Monomono Idaabobo
    Mabomire: IP65

    PLC_32

    Meji atupa oludari

    Olutona atupa ti o ni asopọ pẹlu awakọ LED, ibasọrọ pẹlu BOSUN-SL8200C nipasẹ PLC.Tan/PA Latọna jijin, dimming(0-10V/PWM), ikojọpọ data,96-264VAC,2W,IP67

    PLC_34

    BS-PLC822

    - Tan/PA Latọna jijin
    - Pẹlu ilọpo meji dimming Circuit: PWM ati 0-10V
    - Pẹlu iṣẹ wiwa ikuna atupa LED.
    - Pẹlu biinu kapasito erin bibajẹ.
    - Pẹlu awọn iṣẹ ijabọ alaye aṣiṣe ti nṣiṣe lọwọ
    - Agbara ina ti a kojọpọ, akoko ina ikojọpọ akoko ikuna, ati ikilọ ti igbesi aye atupa (imurasilẹ eto).
    - Ibeere ipo, dimming, iṣẹ ikojọpọ paramita itanna.
    - Awọn itaniji bii overvoltage, undervoltage, ati overcurrent (atilẹyin eto).
    - Agbara ina ti kojọpọ, akoko itanna ti o ṣajọpọ akoko ikuna, ati ikilọ ti igbesi aye atupa

    Nikan atupa oludari

    Olutona atupa ti o ni asopọ pẹlu awakọ LED, ibasọrọ pẹlu BOSUN-SL8200C nipasẹ PLC. Tan-an/PA Latọna jijin, dimming(0-10V/PWM), gbigba data,96-264VAC,2W,IP67.

    PLC_38

    BS-PLC812 / PLC815

    - Tan/PA Latọna jijin, ti a ṣe sinu 16A yii.
    - O ṣe atilẹyin wiwo dimming: PWM ati 0-10V
    - Wiwa ikuna: ikuna atupa, ikuna ikuna agbara ikuna kapasito, lori foliteji, lori lọwọlọwọ.under foliteji, foliteji jijo
    - Wiwa ikuna atupa: fitila LED ati atupa itujade gaasi ibile (pẹlu ikuna kapasito isanpada).
    - Ijabọ ifitonileti ikuna ni aifọwọyi si olupin ati gbogbo awọn ala ti nfa jẹ atunto
    - Mita agbara ti a ṣe sinu, atilẹyin latọna jijin ka ipo akoko gidi ati awọn aye bii foliteji, lọwọlọwọ, agbara ati agbara, bbl
    - O ṣe atilẹyin gbigbasilẹ lapapọ akoko sisun ati resetting.recording lapapọ ikuna akoko ati ntun
    - Wiwa jijo.
    - Iyan iṣeto ni: RTC ati tẹ.
    - Monomono Idaabobo.
    - Mabomire: IP67.

    PLC_40
    PLC_41

    1-10v Dimming Driver 100W / 150W / 200W

    PLC_45

    BS-Xi LP 100W/150W/200W

    - Agbara ti o ga julọ, fifun alaafia ti ọkan ati awọn idiyele itọju kekere
    - Igbesi aye gigun ati oṣuwọn iwalaaye giga
    - Awọn ifowopamọ agbara nipasẹ ṣiṣe giga
    - Awọn ẹya atunto iwọntunwọnsi ti o bo awọn ohun elo ti o wọpọ julọ
    - Superior gbona isakoso
    - Išẹ mabomire deede nipasẹ igbesi aye
    - Rọrun lati ṣe apẹrẹ sinu, tunto ati fi sori ẹrọ fun awọn ohun elo Kilasi I
    - SimpleSet®, Ailokun iṣeto ni wiwo
    - Idaabobo giga giga
    - Igbesi aye gigun ati aabo to lagbara lodi si ọrinrin, gbigbọn ati iwọn otutu
    - Awọn window iṣẹ atunto (AOC)
    - Ita Iṣakoso ni wiwo (1-10V) wa
    - Digital iṣeto ni Interface (DCI) nipasẹ MultiOne Interface
    - Adase tabi orisun akoko ti o wa titi (FTBD) dimming nipasẹ iṣọpọ 5-igbese DynaDimmer
    - Ijade ina Ibakan ti siseto (CLO)
    - Idaabobo Iwakọ Iwakọ Ijọpọ

     

    PLC_48
    PLC_51

    Iyipada ti atijọ ita atupa

    Pẹlu idagbasoke ti awujọ, iyipada ti awọn atupa opopona atijọ ti di ọkan ninu awọn ero ikole ilu.

    PLC_55

    Ojutu ni ọpọlọpọ awọn orilẹ-ede ni lati tọju awọn ọpa ina ita ati yi awọn ohun elo itanna pada;tabi rọpo wọn pẹlu awọn atupa LED ti a ṣe ti awọn ohun elo ore ayika.tabi lo awọn atupa ore-agbara oorun ati awọn atupa.Ṣugbọn bii bii awọn atupa ṣe yipada, wọn yoo ṣafipamọ agbara pupọ ju awọn atupa halogen ti tẹlẹ lọ.

    Oorun(4G)_38

    Gẹgẹbi olutaja pataki ti ilu ọlọgbọn, ọpa ina ọlọgbọn le gbe diẹ ninu awọn ẹrọ oye miiran, gẹgẹ bi kamẹra CCTV, ibudo oju ojo, ibudo ipilẹ mini, AP alailowaya, agbọrọsọ gbangba, ifihan, eto ipe pajawiri, ibudo gbigba agbara, idọti smart, smart smart manhole ideri, ati be be lo O ti wa ni rorun lati se agbekale sinu kan smati ilu.

    LoRa-MESH_53

    Pẹlu BOSUN SSLS (Eto Imọlẹ Imọlẹ Oorun) & SCCS (Eto Iṣakoso Ilu Smart) ẹrọ iṣẹ iduroṣinṣin, awọn ẹrọ wọnyi le ṣiṣẹ daradara ati iduroṣinṣin.Ise agbese atunse atupa ita le ti pari ni aṣeyọri.

    Ise agbese

    PLC_65

    Imọ-ẹrọ PlC ni lati mọ asopọ ti atupa kọọkan pẹlu lnternet nipasẹ alaye ati ohun elo oye, lati le mọ ina eletan ati iṣakoso isọdọtun ti awọn batchamps, lati ṣaṣeyọri idi ti fifipamọ agbara, idinku itujade, iṣẹ ṣiṣe daradara ati itọju.

    Awọn anfani ti PLC jẹ
    1. Nikan da lori laini agbara ti o wa tẹlẹ lati gbe awọn ifihan agbara, laisi okun waya ọtọtọ, iye owo kekere
    2. Gbigbe ifihan agbara ti okun, igbẹkẹle giga, iwọn gbigbe giga ati ijinna gbigbe gigun
    3. Iṣiṣẹ kekere ati awọn idiyele itọju

     

    PLC_69

    Aṣeyọri ọran ti ṣe ni Thailand.O fi sori ẹrọ awọn eto 376 ti awọn imọlẹ ita oorun ni awọn papa itura 3, o si rii iṣakoso latọna jijin ti ọpọlọpọ awọn ina ni akoko kanna.

    O ni itẹlọrun pupọ pẹlu imọ-ẹrọ PLC wa, o sọ fun wa pe nitori imọ-ẹrọ yii, o fipamọ ọpọlọpọ iye owo eniyan lati ṣayẹwo ati ṣetọju awọn ẹrọ wọnyi, fifipamọ ọpọlọpọ awọn idiyele ti o farapamọ.
    O mọ ibiti ati awọn ina ibudo ni awọn iṣoro nipasẹ kọnputa, ati pe o le gba awọn atunṣe akoko.

     


  • Ti tẹlẹ:
  • Itele:

  • Kọ ifiranṣẹ rẹ nibi ki o si fi si wa